所有提交的电磁系统将被重定向到在线手稿提交系统。作者请直接提交文章在线手稿提交系统各自的杂志。

调查基于FPGA的运动空间矢量PWM控制

K.R.Rekha1,莎莉尼·Vashishtha2
  1. 教授,ECE称,SJBIT,卡纳塔克邦,印度班加罗尔
  2. 助理教授,ECE称,心房,卡纳塔克邦,印度班加罗尔
相关文章Pubmed,谷歌学者

访问更多的相关文章国际先进研究期刊》的研究在电子、电子、仪表工程

文摘

空间矢量脉宽调制(SVPWM)可能是一个最好的技术在所有的PWM技术变压变频驱动器。这是一个直接数字技术和空间矢量的概念是利用计算占空比的逆变器开关设备。超大规模集成技术的迅速发展和EDA技术的发展已经让位于复杂和紧凑的工业控制系统的控制器。特定的硬件技术,如基于fpga的架构平台提供快速成型,实现高性能信号处理和灵活性的驱动,运动和激活控制驱动器。本文综述的一些基于FP雷竞技苹果下载GA的SVPWM电动机控制系统中可用的文学。

关键字

空间矢量脉宽调制,变压变频驱动器,旋转电压矢量,基向量,领域,数字实现,三相电压源逆变器,基于FPGA控制器

介绍

空间矢量脉宽调制(SVPWM)方法是一种先进的PWM方法,它可能是一个最好的在所有的PWM变频技术、可变电压驱动的应用程序。近年来,这种方法已逐渐得到广泛应用在电力电子与电气传动,由于其优越的性能特征。正弦脉宽调制(SPWM)相比,空间更适合数字实现。这是一个复杂的平均算法,增加了获得直流电压利用率。实现更高的交流侧电压相同的直流侧电压。在空间矢量理论得到三相正弦信号转换成一个旋转向量与一个常数大小和常数角频率。在旋转空间矢量PWM电压矢量作为参考电压调制信号和目标是产生PWM载重线电压,负载平均等于给定的参考电压。
SVPWM技术达到这一目标通过切换电力半导体器件例如在一个特殊的序列在三相电压源逆变器有六个功率半导体设备,逆变器可以通过八个开关状态。开关状态是由简单的公式,很容易实现数字化。当采用三相逆变桥,phasormust通过八个可能状态的组合。为了不使电源短路,高端和下部开关在任何逆变器legcannot被关闭在同一时间。这允许8可能的组合开关的状态。结合高端开关状态,所描述的是完全的下部相应的开关状态将是互补的。八个可能的组合,代表在α/β平面,成为八个空间向量,其中2是零向量,可以观察到图1所示。这些base-vectors定义一个六边形,分裂成6个部门。主要常规SVPWM算法
1。确定参考相量。
2。确定责任周期基本向量。
3所示。确定切换开关的顺序。
4所示。生成PWM载波和调制。
图像
这个图描述了八个开关状态安排六边形

SVPWM技术的优势

这种方法提供了提高总线的利用率,最大输出电压是1.155倍,三角正弦脉宽调制技术。没有进入调制交流输出电压可以增加。它还提供了更少的变换或切换损失,因为它提供了更大的灵活性来优化切换波形。只需要一个参考空间向量来生成三相正弦波形。SVPWM切换规则给更少的总谐波失真和移谱。该方法降低了电流畸变,给低稳态转矩,磁通和纹波电流。更先进的矢量控制可以实现使用SVPWMas参考电压是一个二维的数量。

方法

摘要部分结合空间矢量描述方法和基于载波调制和生产7种modulationstrategies在FPGA平台上实现。B部分的技术来减少计算开销的SVPWM算法通过引入一个中间变量。部分Cproposes multisampling空间向量在一个开关周期从而提高驱动器使用的电压源逆变器的性能。段D采用SVPWM技术来控制伺服电动机系统。部分E描述了基于FPGA的实现空间矢量调制的直接转矩控制的感应电动机驱动器。部分F论文侧重于低功耗的设计和基于高性能FPGA的数字空间矢量脉冲宽度调制(DSVPWM)为三相电压源逆变器控制器。提出了一种新的方法实现简单、准确和高性能DSVPWM技术基于FPGA与低资源消耗和减少执行时间比传统方法。部分G工作集中在低功率的设计和高性能硬件描述语言(VHDL)为三相感应电动机驱动器basedonFPGAs basedSVPWM控制器。

答:fpga 341电压源逆变器SVPWM触发脉冲发生器

Cleumar s Moreira Raimundo c . s . Freire,埃尔英国梅尔彻”、Gurdip s深”塞巴斯蒂安y . c . Catunda2 Raimundo n c Alves3
本文的体系结构可重构fpga脉冲宽度调制器产生触发脉冲的3相电压源逆变器提出了这个电路是基于空间矢量的方法和舰载调制方法。这个电路是7个不同的预定义的调制策略的能力。这个体系结构允许包含一个新的用户定义的策略。调制器结构被分解为不同的功能模块和Verilog-HDL中描述。生成的代码被编译和仿真2000门FPGA。PWM触发脉冲生成通过直接比较的参考信号。在舰载调制,零序分量添加到三正弦参考信号,从而扭曲了参考信号来消除特定的逆变器输出电压的谐波成分。相比之下的扭曲与三角载波参考信号,生成不同的切换模式,按照选择的零序分量。

提出了调制器的结构

乘法器模块用于繁殖指数m的数据读取正弦模块。调制模块,每个值storedin正弦模块在multipliermodule乘以the10-bit modulationindex代表数量,这给调制信号与载波信号即时通过即时。thismodulating信号然后总结使用有限状态机的零序分量。延迟模块作为输入,PWM脉冲generatedas结果之间的比较参考载波波形和那些正面与负面标识转换。suffersimultaneous转换的输入信号,延迟(死时间)是introducedto避免同时操作或传导switchesin相同的腿。在SVM(空间矢量调制)调制模块进行召唤,载体和延迟模块,监督沟通和synchronizationoperations。最后一个命令脉冲生成。这个模块的输入信号对应于flagsand命令脉冲和旨在supervisethe内部变量的读/写操作的一种必要的配置调制器。本文设计和实现的多功能FPGAbased空间矢量调制器。Thisconfiguration是Verilog HDL描述编码方面的模块间的同步和通信。

b .高效三相逆变器SVPWM算法计算开销较低Zeliang蜀,学生会员,IEEE,剑Tang YuhuaGuo, JisanLian

本文提出和发展紧凑算法ofspace矢量脉冲宽度调制(SVPWM)基于三相逆变器。由该方法简化,conventionalSVPWM迅速分解成整数操作entirelyby使用一个中间向量,将适当抵消theredundant计算剩余的程序。这不仅conceptcan简化二级计划,但也适合multilevelimplementation。因为它可以没有anymultiplier或分频器,实现快速算法尤其适合forfield可编程门阵列的应用程序。然后,面积和速度高效的基于该算法ip核构建和测试。它确保降低硬件资源的使用,与此同时,经营着好几倍一些报道的例子。实验结果从直粱逆变器获得原型对提交验证proposedalgorithm的可行性和有效性。ip核designbased FPGA采用紧凑的架构资源,提供更快的operatingfrequency requiresmuch低于传统的计划。这个概念可以用于多电平SVPWM的简化方案。提出algorithmcan也是在软件应用程序中使用,如DSPor微控制器技术,能够在实时accuratelygenerate SVPWM模式是至关重要的。
图像
这个图描述了该调制器的各种模块
图像

c三相逆变器与fpga Multisampled空间矢量调制

乔凡娜Oriti,高级会员,IEEE和亚历山大·l·朱利安会员,IEEE
这paperdemonstrates改善性能耀目三相电压源逆变器(VSI)当使用数字multisampled空间矢量调制。调制器和逆变器控制器完全使用现场可编程门阵列实现平台,从而实现增加带宽对一个典型的数字信号处理器或基于微处理器的控制器。增加控制器带宽导致较低的输出电压谐波失真在上面的频率范围下的根本和开关频率。实验验证了分析一起使用VSI的状态空间模型与一个输出LC滤波器。
嵌入在一个空间矢量调制FPGA创造了一个机会重新计算空间矢量计时器多次在一个开关周期。这种技术通常被称为可以实现多个参考信号的采样和只有在并行计算是可行的,与fpga控制器一样。
本文表明,控制器带宽增加,造成多个采样,输出电压质量是非常有益的。创建的伯德图模拟被用来理解系统增益和相位的利润和收益调整PI控制器。实验时域和频域波形显示逆变器输出电压的提高电压质量使用20样品每段时相比,典型的双空间矢量调制更新。

d .设计和实现基于FPGA的ofSVPWM伺服控制系统

彭日成海盐,谢Yun,陈小姗姗,Bingcheng
本文中描述的SVPWM伺服控制系统usesAltera气旋II系列FPGA芯片,correspondingFPGA开发软件平台是QuartusII7.2.QuartusII7.2开发平台包括HDL代码输入,组件符号输入电路设计和simulationEDA软件嵌入和其他部分,functionalsimulation和定时模拟整个系统的designstage是至关重要的阶段,它可以度过的识别系统设计的问题。
硬件实现SVPWM的方法显示,在于twoaxisstator电压的计算组件,然后转换成threeaxisfrom两轴分量的调制分量(通过坐标变换)outputwaveform转化为最好的脉冲宽度调制signalthrough调整SVPWM转换器,调制signalentering门闩电路可以设置addingdead过程的延迟时间最后的调制波形是用来控制三相逆变电路。
SVPWM伺服控制系统包括thecalculation脉冲时间和正弦信号生成,因此数值计算,计算中必须考虑前往。浮点computationalcomplexity高,系统使用整数arithmeticinstead,以后改进,它可以增强从8位到12位,l6-bit 32位。这个设计servo-controlsystem使用8位整数运算,sinereference表的值存储在FPGA内部的EPROM中。
图像
这个图描述了SVPWM控制模块,包括频率调节器,调制器级,死亡时间调节器,罪恶/ Cos valuegeneration,工作周期计算器,2/3轴转换器

e .基于FPGA实现的空间VectorModulated InductionMotor驱动的直接转矩控制

R。高级成员Rajendran IACSIT Dr.N.Devarajan
在这个papera灵活、高计算speedand成本有效的现场可编程门阵列(FPGA)基于空间矢量调制(SVM)直接转矩控制的感应电动机。直接转矩控制(DTC)使用空间矢量调制显示低稳态转矩脉动,流量脉动和电流畸变的特点是空间矢量调制和快速瞬态性能是直接转矩控制的特点。该系统已在Xilinx斯巴达3 e FPGA上实现。该方法的控制目标选择的定子电压矢量定子磁通变化以满足负载角参考,因此所需的转矩,同时保持通量振幅恒定。空间矢量调制算法应用所需的定子电压矢量。系统控制系统框图如下所示。
图像
低成本Xilinx斯巴达3 e FPGA,包含4,00000工作的逻辑门和50 MHz(时钟周期等于20 ns),被用来作为目标组件实现的控制器。通过实现该控制器在一个FPGA,达到很好的性能,由于FPGA解决方案固有的并行性和极大的灵活性,实现不同的控制功能。

f .基于高性能FPGA的数字空间矢量PWM三相电压源逆变器

BahramRashidi, MehranSabahi
本文专注于低功耗的设计和基于高性能FPGA的数字空间矢量脉冲宽度调制(DSVPWM)为三相电压源逆变器控制器。提出了一种新的方法实现简单、准确和高性能DSVPWM技术基于FPGA与低资源消耗和减少执行时间比传统方法。SVPWM方程比较复杂,需要一个相当长的时间来执行一个典型的单片机,因此提出了一种简单的方法来减少运行时的指令,提出数字设计部门确定实现基于简单的规则和低功耗逻辑电路,提出了基于组合逻辑电路的设计是这样有提高性能和降低功耗。这个提议的数字电路实现了部门决心完全和准确。提高性能的设计可以使用管道技术。这种技术的优势在其他文献包括灵活性、高准确性和减少区域。因此,一个简单的方法提出了改变复杂的空间矢量方程转变的集合,和其他类似简单的订单添加到最小化运行时的指令。该方法具有较高的性能、减轻压力、低功率和高准确性。来验证该设计一个实验室样机已经安排使用旋风二世FPGA板、光学接口IGBT驱动感应电动机的电压源逆变器输出负载。实验室实验和Xpower分析仪结果证明,由于降低了硬件的使用比例,简单和快速的指令,提出的方法具有更好的性能和更少的能源消耗比其他作品。总功耗控制器在100 mhz时钟频率降至37千瓦。

g . FPGA实现SVPWM控制技术使用定点实现三相感应电动机驱动

ChaurasiyaRohit B。,Mukesh D. Patil, Divya Shah1and Abhijit Kadam2 Electronics Engineering RamraoAdik Institute of Technology Nerul, Navi Mumbai
这项工作主要集中在低功耗设计和高性能硬件描述语言(VHDL)为三相感应电动机driveonFPGAs basedSVPWM控制器。软件部分结果的整数实现largenumber子程序从而利用大型硬件resourceson FPGA板导致更多的功耗。还教授大型代码密度计算时间。在这部作品提出的软件部分实现固定pointrealization这就增加了准确性,也不在因为任何子例程,它减少了在FPGA董事会总面积。codedensity少,从而减少计算时间和powerconsumption。给出仿真结果对SVPWM generatedsignals在这工作。证明了该方法在有效性的硬件利用率proposedmethod与整数实现。
可以看出,定点实现需要较少的硬件,提高精度和简化实现比整数实现。

结论

与快速发展超大规模集成技术的发展有效的电机控制系统也取得了重大进展。许多马达驱动器使用的基于DSP的数字控制策略,这简单的软件控制和灵活性的优势。然而最近的fpga提供快速成型等设备,由于其高性能信号处理和灵活性可重构体系结构。特别是一个fpga SVPWM调制可以带多个样品的参考电压和计算功率变换器开关状态和计时器)在一个开关周期内的几次是一个优势基于DSP控制器。然而,在许多情况下,fpga控制器体系结构的设计是相当直观的,需要设计师掌握不同领域(微电子、控制和电机理论)。尤其适用于复杂的算法结构,比如在驱动控制的应用程序。本文试图调查技术目前在文献中基于FPGA的空间矢量调制电机控制系统。

未来的范围

基于FPGA的设计可以延长低功率实现SVPWM,以及提高电力速度FPGA的产物。这个讨论也奠定了分析基础onSOC为基础的运动控制系统芯片(系统)为未来专业化。

引用

  1. y Tzou周宏儒。许”,FPGA实现三相PWM逆变器的空间矢量PWM控制集成电路,“IEEE反式。电力电子。,12卷,不。6日,第963 - 953页,1997年11月。
  2. m . Tonelli p Battaiotto,眉毛,“FPGA实现的通用空间矢量调制器,“在Proc。IEEE IECON, 2001年,卷2,页1172 - 1177。
  3. 陈和g·乔斯,“对称空间电压模式发生器使用现场可编程门阵列实现,“在Proc。IEEE亚太经合组织,2002年,1004 - 1010。|
  4. r·沃克“Digitally-implemented自然采样PWM适合多级控制,“IEEE反式。电力电子。,18卷,不。6,1322 - 1329年,2003年11月。
  5. z周,t·李,t .高桥和大肠Ho”设计的通用空间矢量PWM控制器基于FPGA,“在Proc。IEEE亚太经合组织,2004年,3卷,第1698 - 1702页。
  6. g·杨,p .赵,z周”SVPWM IP核的设计基于FPGA,“在Proc。IEEE嵌入式Softw。系统。计算机协会。,Jul. 2008, pp. 191– 196.
  7. c . w . Chen棱角,冯y”设计的fpga三相voltage-sourced逆变器的空间矢量PWM发生器,“在Proc。IEEEPower能源相依,2008年12月,页584 - 588。
  8. s叫。Paccagnella m . Ceschia s . Bolognani, m . Zigliotto”势和陷阱FPGA的应用在逆变器驱动一个案例研究中,“在Proc。IEEE ICIT, 2003年,页500 - 505。
  9. l . Corradini和p . Mattavelli分析多个数控直流-直流转换器的采样技术,“在Proc。PESC, 2006年,页1 - 6。
  10. l . Corradini和p . Mattavelli建模multisampled脉冲宽度调节器的数控直流-直流转换器,“IEEE反式Ind.Electron。,23卷,不。4,第1847 - 1839页,2008年7月。
  11. l·朱利安和g . Oriti“基于FPGA的数字实现自然采样空间矢量调制的,“在Proc。IEEE瞧,CA,圣何塞。2009年9月,第625 - 630页。
  12. k·李、i t·华莱士和a . s . Bhadkamkar”面向设计的分析直流环节当前观察者的三相双转换联合国interrupt-able电力系统或调速驱动,“在Proc, IEEE达成。PowerElectron。相依,2007年,页598 - 605。